ModelSim之tcl自动化仿真

摘要: ModelSim的tcl最大的优势就在于它可以让整个仿真自动运行,免除每次进行各种用户界面控制操作的麻烦。用tcl就可以自动完成建库、映射库到物理目录、编译源代码、启动仿真器、运行仿真等一系列操作。 下面就结合实例简要说明操作步骤:1、编写好源文件。包括camera.v和它的Testbench文件camera_tb.v2、编写.do文件(camera_tb.do),内容如下:# Create t

ModelSim的tcl最大的优势就在于它可以让整个仿真自动运行,免除每次进行各种用户界面控制操作的麻烦。用tcl就可以自动完成建库、映射库到物理目录、编译源代码、启动仿真器、运行仿真等一系列操作。

下面就结合实例简要说明操作步骤:
1、编写好源文件。包括camera.v和它的Testbench文件camera_tb.v
2、编写.do文件(camera_tb.do),内容如下:
# Create the work library
vlib work
vmap work work

# Compile the verilog files
vlog -work work camera.v
vlog -work work camera_tb.v

# Run simulation
vsim -lib work camera_tb
view wave
add wave sim:/camera_tb/*
run 55ms
3、编写.bat的批处理文件,用于在Windows cmd下运行整个ModelSim仿真。源码如下:
vsim -do camera_tb.do
保存文件为camera_tb.bat。
4、在Windows cmd中运行camera_tb.bat。
注意:以上的这些文件(camera_tb.do,camera_tb.bat),应该存放在相应的工程目录下。
在工程目录下直接点击camera_tb.bat运行即可。

运行完成DOS窗口会显示如下:

ModelSim之tcl自动化仿真

得到仿真结果如下:

ModelSim之tcl自动化仿真

在命令窗口会得到以下信息:

ModelSim之tcl自动化仿真

在调试过程中,若对源文件进行了修改而需要重新运行仿真时,则只需要在命令窗口输入do camera_tb.do命令回车即可再一次自动完成整个仿真过程,十分方便。
另外,若关闭DOS窗口,ModelSim也会随之一起关闭,它们两者是相互关联的。

ModelSim之tcl自动化仿真

转载至:http://www.it610.com/article/1577426.htm

上一篇:网站开发进阶(三十六)String.getBytes()方法中的中文编码问题


下一篇:HDU-6125-Friend-Graph-2017CCPC网络赛(图论,拉姆齐定理-组合数学)