篇7-UVM ERROR达到一定数量时结束仿真

1.UVM_ERROR达到一定数量结束仿真

1.1相关函数

(1) set_report_max_quit_count

(2) set_max_quit_count

 篇7-UVM ERROR达到一定数量时结束仿真

(3) get_max_quit_count

篇7-UVM ERROR达到一定数量时结束仿真

1.2命令行设置

篇7-UVM ERROR达到一定数量时结束仿真

其中,上面的”NO”表示UVM_MAX_QUIT_COUNT的设置值6不能被后面的设置语句重载;

 

上一篇:蓝桥杯 我们的征途是星辰大海 模拟


下一篇:多校省选模拟4