DC综合流程

Design Compiler and the Design Flow

DC综合流程

步骤

  • 将HDL描述的设计输入到Design Compiler中
  • Design Compiler使用technology libraries, synthetic or DesignWare libraries, and symbol libraries执行综合过程,并展示综合结果。
  • 将HDL翻译为门级描述之后,Design Compiler优化和映射设计到指定的工艺库。
  • 优化之后准备测试综合结果,查看是否满足设计要求。
  • 综合之后准备布局布线。

The High-Level Design Flow

DC综合流程

Basic Synthesis Flow

DC综合流程

参考资料

[1]. Synopsys Design Compiler User Guide.pdf

上一篇:Asp.net MVC中 Controller 与 View之间的数据传递


下一篇:ubuntu14.04安装与配置nginx服务器