well tap cells的结构以及如何在物理设计流程中放置他们

well tap cell是为了防止CMOS设计中的闩锁效应
well tap cell的N井连接VDD P衬底连接VSS,没有逻辑功能,是physical only cells之一;

structure

well tap cells的结构以及如何在物理设计流程中放置他们

用处

在早期没有well tap cell的时候,每个标准单元都要一个N井连VDD,P衬底连VSS(接地),但是这种结构很耗费面积,之后一种Tapless cell应运而生,也就是在标准单元上没有well taping;
而为啥之前N井要接 power_supply 而P_sub要接ground 就是为了避免latch_up;

放置

well tap cell的放置一般是在macro placement和 power rail 创建之后,也就是在正式布局之前;
well tap cell 是以规则的间距在每一排中都放置的;tap cell之间的最大距离必须符合特定库的DRC 规则;
well tap cells的结构以及如何在物理设计流程中放置他们

如图所示 tap cell一般是交替行放置的,也叫做checkerboard pattern(棋盘模式),可以让tap cell拥有更大的覆盖范围;

上一篇:英语思维-20210915


下一篇:Math for Programmers, 3D graphics, machine learning, and simulations with Python