2021-06-04

一、实验目的
Quartus || 原理仿真
二、实验内容
Verilog HDL 测试模块
三、实验代码
module decoder3x8(din,en,dout,ex);
input [2:0] din;
input en;
output [7:0] dout;
output ex;
reg [7:0] dout;
reg ex;

always @(din or en)
if(en)
begin
dout=8’b1111_1111;
ex=1’b1;
end
else
begin
case(din)
3’b000:begin
dout=8’b1111_1110;
ex=1’b0;
end
3’b001:begin
dout=8’b1111_1101;
ex=1’b0;
end
3’b010: begin
dout=8’b1111_1011;
ex=1’b0;
end
3’b011:begin
dout=8’b1111_0111;
ex=1’b0;
end
3’b100: begin
dout=8’b1110_1111;
ex=1’b0;
end
3’b101: begin
dout=8’b1101_1111;
ex=1’b0 ;
end
3’b110:begin
dout=8’b1011_1111;
ex=1’b0 ;
end
3’b111: begin
dout=8’b0111_1111;
ex=1’b0;
end
default:begin
dout=8’b1111_1111;
ex=1’b0;
end
endcase
end

endmodule
四、实验工具
电脑、Quartus||、Modelism
五、实验截图
2021-06-04
2021-06-04
2021-06-04

六、实验视频链接
https://v.qq.com/x/page/l3250cdpbn6.html

上一篇:ML之SLR:简单线性回归;根据多组数据(x,y)模拟得到一次线性方程(斜率和截距),然后输入新的x来智能预测y值


下一篇:数据类型的转换