VIVADO(4)

矮人看戏何曾见,都是随人说长短      

                                                            --------------赵翼

Directive:

opt_design (Logic optimization) 逻辑优化

power_opt_design (Power optimization)  功耗优化

place_design (Placement)  布局

phys_opt_design (Physical synthese) 物理综合  优化

route_design (Routing)  布线

write_bitstream (Generate a  Bitstream)

Implementation strategy

VIVADO(4)

 

 -place_design 

-post_place_opt

VIVADO(4)

 

 -route_design

- preserve

-delay

-max_delay

-min_delay

VIVADO(4)

 

 -phys_opt_design

上一篇:Python 与SQL sever数据库 图形化智能停车场管理系统


下一篇:python练习 计算器模拟程序