UVM中启动sequence方法总结

目录

1、通过sequence.start方式启动

2、通过`uvm_do系列宏来启动 

3、通过default_sequence启动

微信公众号


​UVM中启动sequence方法有三:

1、通过sequnce.start的方式启动

2、通过`uvm_do系列宏来启动

3、通过default sequence来启动

 

1、通过sequence.start方式启动

start方法是“uvm_seuquence_base”类中的一个task。

原型为:virtual task start (uvm_sequencer_base sequencer,

            uvm_sequence_base parent_sequence = null,

            int this_priority = -1,

            bit call_pre_post = 1);

参数解释:

    sequencer:如果被启动的sequence(调用start方法的sequence)中要产生transaction, 那么必须要通过第一个参数来指明这个transaction要发给那个sequencer。

  parent_sequence: 指明start 方法中,需要调用那个sequence的pre_body() task和 post_body() task。

    this_priority: 指明产生transaction的优先级,sequencer在某些条件下会根据优先级来将transaction发送给sequence。

   call_pre_post:如果为1, 则调用 start 方法中的 pre_do() task, mid_do() func, post_do() func。这个三个do 方法是该sequence的方法。

    意义:start方法是uvm中启动sequence最本质、最根本的方法。后面说到两种方法最终还是调用start方法来启动sequence。

 

2、通过`uvm_do系列宏来启动 

     如果给`uvm_do传的第一个参数是sequence,则最终调用sequence.start(SEQR, this, PRIORITY, 0)。

SEQR,PRIROTY参数来自`uvm_do_on_pri_with(SEQ_QR_ITEM, SEQR, PRIORITY, CONSTRAINS)。

 

3、通过default_sequence启动

    在test_case中的build_phase中,通过

uvm_config_db#(uvm_object_wrapper)::set( pointer, "tree_path.phase_name", "default_sequence", sequence::type_id::get());

    或者 

uvm_config_db#(sequence_type)::set( pointer, "tree_path.phase_name", "default_sequence", sequence_pointer);

    最终还是调用start来启动。

 

参数说明:

    tree_path: 指向sequencer的uvm 树路径

    phase_name必须是task phase。run_phase + 12 个task_phase.

 

微信公众号

     建立了一个微信公众号“Andy的ICer之路”,此公众号主要分享数字IC相关的学习经验,文章主要在公众号上发,csdn会尽量同步更新,有兴趣的朋友可以关注一下!

UVM中启动sequence方法总结

 

上一篇:【摸鱼范式】【二】UVM入门教程


下一篇:预备段:uvm初级目录