模拟SPI NRF24L01模块的简单使用

模拟SPI NRF24L01模块的简单使用

软件模拟SPI

(注:默认已经充分了解SPI通信协议)

软件模拟

  • 软件模拟的好处:
  1. 灵活性好。(你想想,平时使用硬件SPI是不是拼死拼活的找那几个复用的引脚,要是一开始就规划好还行,但是项目开发到一半,突然来一个硬件SPI,还发现引脚口全被占用,就只能很幸苦的去慢慢修改引脚或者找其他复用。)
  2. 适应范围广。(极端情况,试想一下要是你的单片机不支持SPI呢 <( ̄ˇ ̄)/ )
  3. 简单容易移植。(你瞅瞅网上开源代码~~)
  • 软件模拟的坏处
  1. 速度稍慢。(搭配操作系统使用,通信速率在一定程度上慢于硬件SPI,毕竟人家走的总线。)
  2. 单片机方面标志位少。(就不会像寄存器那样标志位一大堆,查看配置是否有问题?一看逻辑分析仪,二读取模块寄存器就好)

如何软件模拟SPI(手撸代码的话)

  • 时序图
    模拟SPI NRF24L01模块的简单使用
    众所周知,SPI常见的有四种模式,其中上图参考手册里一扒拉就出来了,对着时序图看看可以写代码了。
  • 开始写代码
    首先看看spi.h文件
/**@file       spi.h
* @brief       软件模拟spi代码配置
* @details     使用IO口模拟SPI时序,进行SPI通信
* @author      LOGOTAO
* @date        2021-7-15
* @version     V1.0
**********************************************************************************
* @attention
* 使用该文件需要自己依据需要修改引脚口
*
**********************************************************************************
*/

#ifndef __SPI_H
#define __SPI_H

/* Includes ------------------------------------------------------------------*/
#include "stm32f4xx_hal.h"

#define SPI_SCK_PIN                     GPIO_PIN_5			//SPI  SCK
#define SPI_SCK_GPIO_PORT               GPIOA
#define SPI_MOSI_PIN                    GPIO_PIN_7			//SPI MOSI
#define SPI_MOSI_GPIO_PORT              GPIOA
#define SPI_MISO_PIN                    GPIO_PIN_6			//SPI MISO
#define SPI_MISO_GPIO_PORT              GPIOA
#define SPI_NSS_PIN                     GPIO_PIN_4			//SPI 片选线
#define SPI_NSS_GPIO_PORT               GPIOA

 
#define SPI_SCK_GPIO_CLK_ENABLE()       __HAL_RCC_GPIOA_CLK_ENABLE()
#define SPI_MISO_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOA_CLK_ENABLE()
#define SPI_MOSI_GPIO_CLK_ENABLE()      __HAL_RCC_GPIOA_CLK_ENABLE()
#define SPI_NSS_GPIO_CLK_ENABLE()       __HAL_RCC_GPIOA_CLK_ENABLE()

#define MOSI_H  		HAL_GPIO_WritePin(SPI_MOSI_GPIO_PORT, SPI_MOSI_PIN, GPIO_PIN_SET)  
#define MOSI_L  		HAL_GPIO_WritePin(SPI_MOSI_GPIO_PORT, SPI_MOSI_PIN, GPIO_PIN_RESET)  
#define SCK_H   		HAL_GPIO_WritePin(SPI_SCK_GPIO_PORT, SPI_SCK_PIN, GPIO_PIN_SET)  
#define SCK_L   		HAL_GPIO_WritePin(SPI_SCK_GPIO_PORT, SPI_SCK_PIN, GPIO_PIN_RESET)  
#define READ_MISO   	HAL_GPIO_ReadPin(SPI_MISO_GPIO_PORT, SPI_MISO_PIN) 
#define CSN_H   		HAL_GPIO_WritePin(SPI_NSS_GPIO_PORT, SPI_NSS_PIN, GPIO_PIN_SET)  
#define CSN_L   		HAL_GPIO_WritePin(SPI_NSS_GPIO_PORT, SPI_NSS_PIN, GPIO_PIN_RESET) 


void SPI_Init(void);
uint8_t SOFT_SPI_RW_MODE0(uint8_t write_dat);
uint8_t SOFT_SPI_RW_MODE1(uint8_t byte);
uint8_t SOFT_SPI_RW_MODE2(uint8_t byte);
uint8_t SOFT_SPI_RW_MODE3(uint8_t write_dat);
#endif

再查看实现代码部分spi.h

/**@file       spi.c
* @brief       软件模拟spi代码配置
* @details     使用IO口模拟SPI时序,进行SPI通信
* @author      LOGOTAO
* @date        2021-7-15
* @version     V1.0
**********************************************************************************
* @attention
* 使用该文件需要自己依据需要修改引脚口
*
**********************************************************************************
*/

#include "spi.h"


/**
	*@brief		简单延迟函数
	*@param		延迟时间
	*@return 	无
	*
***/
static void delay_us(uint32_t time)
{
	uint32_t i;
	for(i=0;i<time;i++);
}



/**
	*@brief		软件模拟SPI引脚口初始化
	*@param		无
	*@return 	无
	*
***/
void SPI_Init(void)
{  
	
  /*##-1- Enable peripherals and GPIO Clocks #################################*/
  SPI_SCK_GPIO_CLK_ENABLE();
  SPI_MISO_GPIO_CLK_ENABLE();
  SPI_MOSI_GPIO_CLK_ENABLE();
  SPI_NSS_GPIO_CLK_ENABLE();
	
#if	0				//配置引脚口参考宏定义,条件编译,便于折叠阅读
#define  GPIO_MODE_INPUT                        MODE_INPUT                                               /*!< Input Floating Mode                   */
#define  GPIO_MODE_OUTPUT_PP                    1(MODE_PP | MODE_OUTPUT)                                  /*!< Output Push Pull Mode                 */
#define  GPIO_MODE_OUTPUT_OD                   11 (MODE_OD | MODE_OUTPUT)                                  /*!< Output Open Drain Mode                */
#define  GPIO_MODE_AF_PP                        (MODE_PP | MODE_AF)                                      /*!< Alternate Function Push Pull Mode     */
#define  GPIO_MODE_AF_OD                        (MODE_OD | MODE_AF)                                      /*!< Alternate Function Open Drain Mode    */

#define  GPIO_MODE_ANALOG                       MODE_ANALOG                                              /*!< Analog Mode  */

#define  GPIO_MODE_IT_RISING                    (EXTI_MODE | GPIO_MODE_IT | RISING_EDGE)                 /*!< External Interrupt Mode with Rising edge trigger detection          */
#define  GPIO_MODE_IT_FALLING                   (EXTI_MODE | GPIO_MODE_IT               | FALLING_EDGE)  /*!< External Interrupt Mode with Falling edge trigger detection         */
#define  GPIO_MODE_IT_RISING_FALLING            (EXTI_MODE | GPIO_MODE_IT | RISING_EDGE | FALLING_EDGE)  /*!< External Interrupt Mode with Rising/Falling edge trigger detection  */

#define  GPIO_MODE_EVT_RISING                   (EXTI_MODE | GPIO_MODE_EVT | RISING_EDGE)                /*!< External Event Mode with Rising edge trigger detection               */
#define  GPIO_MODE_EVT_FALLING                  (EXTI_MODE | GPIO_MODE_EVT               | FALLING_EDGE) /*!< External Event Mode with Falling edge trigger detection              */
#define  GPIO_MODE_EVT_RISING_FALLING           (EXTI_MODE | GPIO_MODE_EVT | RISING_EDGE | FALLING_EDGE) /*!< External Event Mode with Rising/Falling edge trigger detection       */

/**
  * @}
  */

/** @defgroup GPIO_speed_define  GPIO speed define
  * @brief GPIO Output Maximum frequency
  * @{
  */
#define  GPIO_SPEED_FREQ_LOW         0x00000000U  /*!< IO works at 2 MHz, please refer to the product datasheet */
#define  GPIO_SPEED_FREQ_MEDIUM      0x00000001U  /*!< range 12,5 MHz to 50 MHz, please refer to the product datasheet */
#define  GPIO_SPEED_FREQ_HIGH        0x00000002U  /*!< range 25 MHz to 100 MHz, please refer to the product datasheet  */
#define  GPIO_SPEED_FREQ_VERY_HIGH   0x00000003U  /*!< range 50 MHz to 200 MHz, please refer to the product datasheet  */
/**
  * @}
  */

 /** @defgroup GPIO_pull_define GPIO pull define
   * @brief GPIO Pull-Up or Pull-Down Activation
   * @{
   */  
#define  GPIO_NOPULL        0x00000000U   /*!< No Pull-up or Pull-down activation  */
#define  GPIO_PULLUP        0x00000001U   /*!< Pull-up activation                  */
#define  GPIO_PULLDOWN      0x00000002U   /*!< Pull-down activation                */

#endif
	
  /*##-2- Configure peripheral GPIO ##########################################*/
  
 
  GPIO_InitTypeDef GPIO_InitStruct;
  
  /* SPI NSS GPIO pin configuration  */
  GPIO_InitStruct.Pin = SPI_NSS_PIN;
  GPIO_InitStruct.Mode = GPIO_MODE_OUTPUT_PP;
  HAL_GPIO_Init(SPI_NSS_GPIO_PORT, &GPIO_InitStruct);
  HAL_GPIO_WritePin(SPI_NSS_GPIO_PORT, SPI_NSS_PIN, GPIO_PIN_SET);
  
  
  /* SPI SCK GPIO pin configuration  */
  GPIO_InitStruct.Pin       = SPI_SCK_PIN;
  GPIO_InitStruct.Mode      = GPIO_MODE_OUTPUT_PP;
  GPIO_InitStruct.Speed     = GPIO_SPEED_FREQ_LOW;
  HAL_GPIO_Init(SPI_SCK_GPIO_PORT, &GPIO_InitStruct);
  HAL_GPIO_WritePin(SPI_SCK_GPIO_PORT, SPI_SCK_PIN, GPIO_PIN_SET);

  /* SPI MISO GPIO pin configuration  */
  GPIO_InitStruct.Pin = SPI_MISO_PIN;
  GPIO_InitStruct.Mode = GPIO_MODE_INPUT;
  HAL_GPIO_Init(SPI_MISO_GPIO_PORT, &GPIO_InitStruct);
  HAL_GPIO_WritePin(SPI_MISO_GPIO_PORT, SPI_MISO_PIN, GPIO_PIN_SET);


  /* SPI MOSI GPIO pin configuration  */
  GPIO_InitStruct.Pin = SPI_MOSI_PIN;
  GPIO_InitStruct.Mode = GPIO_MODE_OUTPUT_PP;
  HAL_GPIO_Init(SPI_MOSI_GPIO_PORT, &GPIO_InitStruct);
  HAL_GPIO_WritePin(SPI_MOSI_GPIO_PORT, SPI_MOSI_PIN, GPIO_PIN_SET);
  
}


/**
	*@brief			SPI四种模式选择
	*@details  	实现软件SPI模拟全双工数据发送和接收,均高位先行
	*@param			需要发送的参数
	*@return 		接收到的参数
	*
***/
uint8_t temp;
/* CPOL = 0, CPHA = 0, MSB first */
uint8_t SOFT_SPI_RW_MODE0( uint8_t write_dat )
{
    uint8_t i, read_dat;
	//		CSN_L;							原本协议规定需要拉低片选信号进行选中从设备,但为了方便NRF42L01时序统一管理,拉低操作放在NRF42L01配置中
    for( i = 0; i < 8; i++ )
    {
			temp = write_dat & 0x80;
        if( temp )
            MOSI_H;  
        else                    
            MOSI_L;  
        write_dat <<= 1;
        delay_us(20);	
        SCK_H; 
        read_dat <<= 1;  
        if( READ_MISO ) 
            read_dat++; 
				delay_us(20);
        SCK_L; 
        __nop();
    }
//		CSN_H;
		delay_us(10);
    return read_dat;
}
 
 
/* CPOL=0,CPHA=1, MSB first */
uint8_t SOFT_SPI_RW_MODE1(uint8_t byte) 
{
    uint8_t i,Temp=0;
 //CSN_L;
	for(i=0;i<8;i++)     // 循环8次
	{
		SCK_H;     //拉高时钟
		if(byte&0x80)
        {
			MOSI_H;  //若最到位为高,则输出高
        }
		else      
		{
			MOSI_L;   //若最到位为低,则输出低
		}
		byte <<= 1;     // 低一位移位到最高位
		delay_us(20);
		SCK_L;     //拉低时钟
		Temp <<= 1;     //数据左移
 
		if(READ_MISO)
			Temp++;     //若从从机接收到高电平,数据自加一
		
		delay_us(20);
	}
	//CSN_H;
	return (Temp);     //返回数据
}
 
/* CPOL=1,CPHA=0, MSB first */
uint8_t SOFT_SPI_RW_MODE2(uint8_t byte) 
{
    uint8_t i,Temp=0;
	//CSN_L;
	for(i=0;i<8;i++)     // 循环8次
	{
		if(byte&0x80)
        {
			MOSI_H;  //若最到位为高,则输出高
        }
		else      
		{
			MOSI_L;   //若最到位为低,则输出低
		}
		byte <<= 1;     // 低一位移位到最高位
		delay_us(20);
		SCK_L;     //拉低时钟
		Temp <<= 1;     //数据左移
 
		if(READ_MISO)
			Temp++;     //若从从机接收到高电平,数据自加一
		delay_us(20);
		SCK_H;     //拉高时钟
	}
	//CSN_H;
	return (Temp);     //返回数据
}
 
 
/* CPOL = 1, CPHA = 1, MSB first */
uint8_t SOFT_SPI_RW_MODE3( uint8_t write_dat )
{
    uint8_t i, read_dat;
		//CSN_L;
    for( i = 0; i < 8; i++ )
    {
		SCK_L; 
        if( write_dat & 0x80 )
            MOSI_H;  
        else                    
            MOSI_L;  
        write_dat <<= 1;
        delay_us(20);	
        SCK_H; 
        read_dat <<= 1;  
        if( READ_MISO ) 
            read_dat++; 
		delay_us(20);
        __nop();
    }
		//CSN_H;
    return read_dat;
}
  

NRF24L01代码部分

准备资料(你可以借鉴代码,但是务必还是看看,毕竟比较重要)

  • 时序图
    作为手撸模拟SPI代码的人,时序图肯定少不了
    模拟SPI NRF24L01模块的简单使用
    这个大概在参考手册的43页左右,前前后后还有几张比较重要的时序图,多看看,必定用的上。比如他会告诉你配置寄存器时CE线拉低,读取时CE线拉高之类的
  • 寄存器
    从第57页开始,画面如下:
    模拟SPI NRF24L01模块的简单使用
    多去读读,代码跑不通时就可以读取模块寄存器看出了什么毛病

模块注意事项

  1. 注意3.3V供电,否则自己串电阻分压去
    模拟SPI NRF24L01模块的简单使用
    2.接线图
    模拟SPI NRF24L01模块的简单使用
    全是网上淘宝找的资料,没事多去逛逛,比某度娘好用

代码实现部分

老样子,先看NRF24L01.h部分

/**@file       nrf24l01.c
* @brief       软件模拟SPI进行nrf24l01通信
* @details		 
* @author      LOGOTAO
* @date        2021-7-15
* @version     V1.0
**********************************************************************************
* @attention
* 使用该文件需要自己依据需要修改引脚口
*
**********************************************************************************
*/
#ifndef _NRF24L01_H
#define _NRF24L01_H
 
#include "stm32f4xx.h"
 
/****************************************************************************************************/
//NRF24L01寄存器操作命令
#define SPI_READ_REG    0x00  //读配置寄存器,低5位为寄存器地址
#define SPI_WRITE_REG   0x20  //写配置寄存器,低5位为寄存器地址
#define RD_RX_PLOAD     0x61  //读RX有效数据,1~32字节
#define WR_TX_PLOAD     0xA0  //写TX有效数据,1~32字节
#define FLUSH_TX        0xE1  //清除TX FIFO寄存器.发射模式下用
#define FLUSH_RX        0xE2  //清除RX FIFO寄存器.接收模式下用
#define REUSE_TX_PL     0xE3  //重新使用上一包数据,CE为高,数据包被不断发送.
#define NOP             0xFF  //空操作,可以用来读状态寄存器	
 
//SPI(NRF24L01)寄存器地址
#define CONFIG          0x00  //配置寄存器地址;bit0:1接收模式,0发射模式;bit1:电选择;bit2:CRC模式;bit3:CRC使能;
                              //bit4:中断MAX_RT(达到最大重发次数中断)使能;bit5:中断TX_DS使能;bit6:中断RX_DR使能
#define EN_AA           0x01  //使能自动应答功能  bit0~5,对应通道0~5
#define EN_RXADDR       0x02  //接收地址允许,bit0~5,对应通道0~5
#define SETUP_AW        0x03  //设置地址宽度(所有数据通道):bit1,0:00,3字节;01,4字节;02,5字节;
#define SETUP_RETR      0x04  //建立自动重发;bit3:0,自动重发计数器;bit7:4,自动重发延时 250*x+86us
#define RF_CH           0x05  //RF通道,bit6:0,工作通道频率;
#define RF_SETUP        0x06  //RF寄存器;bit3:传输速率(0:1Mbps,1:2Mbps);bit2:1,发射功率;bit0:低噪声放大器增益
#define STATUS          0x07  //状态寄存器;bit0:TX FIFO满标志;bit3:1,接收数据通道号(最大:6);bit4,达到最多次重发
                              //bit5:数据发送完成中断;bit6:接收数据中断;
#define MAX_TX  	    0x10  //达到最大发送次数中断
#define TX_OK       	0x20  //TX发送完成中断
#define RX_OK   	    0x40  //接收到数据中断
 
#define OBSERVE_TX      0x08  //发送检测寄存器,bit7:4,数据包丢失计数器;bit3:0,重发计数器
#define CD              0x09  //载波检测寄存器,bit0,载波检测;
#define RX_ADDR_P0      0x0A  //数据通道0接收地址,最大长度5个字节,低字节在前
#define RX_ADDR_P1      0x0B  //数据通道1接收地址,最大长度5个字节,低字节在前
#define RX_ADDR_P2      0x0C  //数据通道2接收地址,最低字节可设置,高字节,必须同RX_ADDR_P1[39:8]相等;
#define RX_ADDR_P3      0x0D  //数据通道3接收地址,最低字节可设置,高字节,必须同RX_ADDR_P1[39:8]相等;
#define RX_ADDR_P4      0x0E  //数据通道4接收地址,最低字节可设置,高字节,必须同RX_ADDR_P1[39:8]相等;
#define RX_ADDR_P5      0x0F  //数据通道5接收地址,最低字节可设置,高字节,必须同RX_ADDR_P1[39:8]相等;
#define TX_ADDR         0x10  //发送地址(低字节在前),ShockBurstTM模式下,RX_ADDR_P0与此地址相等
#define RX_PW_P0        0x11  //接收数据通道0有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P1        0x12  //接收数据通道1有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P2        0x13  //接收数据通道2有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P3        0x14  //接收数据通道3有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P4        0x15  //接收数据通道4有效数据宽度(1~32字节),设置为0则非法
#define RX_PW_P5        0x16  //接收数据通道5有效数据宽度(1~32字节),设置为0则非法
#define FIFO_STATUS     0x17  //FIFO状态寄存器;bit0,RX FIFO寄存器空标志;bit1,RX FIFO满标志;bit2,3,保留
                              //bit4,TX FIFO空标志;bit5,TX FIFO满标志;bit6,1,循环发送上一数据包.0,不循环;
/**********************************************************************************************************/
 
 
 
 
 
#define NRF24L01_CE_PIN					GPIO_PIN_10			//NRF24L01	使能端口
#define NRF24L01_CE_GPIO_PORT				GPIOB
#define NRF24L01_IRQ_PIN				GPIO_PIN_12			//NRF24L01	中断引脚
#define	NRF24L01_IRQ_GPIO_PORT				GPIOB
#define NRF24L01_IRQ					EXTI15_10_IRQn


#define NRF24L01_CE_GPIO_CLK_ENABLE()			__HAL_RCC_GPIOB_CLK_ENABLE()
#define NRF24L01_IRQ_GPIO_CLK_ENABLE()		        __HAL_RCC_GPIOB_CLK_ENABLE()

#define CE_H						HAL_GPIO_WritePin(NRF24L01_CE_GPIO_PORT, NRF24L01_CE_PIN, GPIO_PIN_SET)
#define CE_L						HAL_GPIO_WritePin(NRF24L01_CE_GPIO_PORT, NRF24L01_CE_PIN, GPIO_PIN_RESET)
#define NRF24L01_IRQ_SetPriority		        HAL_NVIC_SetPriority(EXTI15_10_IRQn, 0, 0);
#define	NRF24L01_IRQ_ENABLE				HAL_NVIC_EnableIRQ(EXTI15_10_IRQn)

//NRF2401片选信号

 

   
////IRQ中断脚,我暂时不用,可以少一个引脚不接,需要的自己打开就好
//#define 		IRQ_L     	GPIO_ResetBits(GPIOD,GPIO_Pin_7) 
//#define 		IRQ_H     	GPIO_SetBits(GPIOD,GPIO_Pin_7)
// 
//#define 		READ_IRQ    GPIO_ReadInputDataBit(GPIOD,GPIO_Pin_7)
 
 
//NRF24L01发送接收数据宽度定义
#define TX_ADR_WIDTH    5                   //5字节的地址宽度
#define RX_ADR_WIDTH    5                   //5字节的地址宽度
#define TX_PLOAD_WIDTH  32                  //20字节的用户数据宽度
#define RX_PLOAD_WIDTH  32                  //20字节的用户数据宽度
									   	   
 
void Init_NRF24L01(void);                    //NRF24l01初始化
uint8_t SPI_ReadWriteByte(uint8_t TxData) ;  //模拟SPI通讯函数
void RX_Mode(void);                          //配置为接收模式
void TX_Mode(void);                          //配置为发送模式
uint8_t NRF24L01_Write_Buf(uint8_t regaddr, uint8_t *pBuf, uint8_t datalen); //写数据区
uint8_t NRF24L01_Read_Buf(uint8_t regaddr, uint8_t *pBuf, uint8_t datalen);  //读数据区		  
uint8_t NRF24L01_Read_Reg(uint8_t regaddr);		                 //读寄存器
uint8_t NRF24L01_Write_Reg(uint8_t regaddr, uint8_t data);              //写寄存器
uint8_t NRF24L01_Check(void);                                      //检查NRF24L01是否在位
uint8_t NRF24L01_TxPacket(uint8_t *txbuf);                         //发送一个包的数据
uint8_t NRF24L01_RxPacket(uint8_t *rxbuf);                         //接收一个包的数据
 
void Delay(__IO uint32_t nCount);
#endif

再看看nrf24l01.c部分

/**@file       nrf24l01.c
* @brief       软件模拟SPI进行nrf24l01通信
* @details		 
* @author      LOGOTAO
* @date        2021-7-15
* @version     V1.0
**********************************************************************************
* @attention
* 使用该文件需要自己依据需要修改引脚口
*
**********************************************************************************
*/
#include "NRF24L01.h"
#include "spi.h"
 
 
const uint8_t TX_ADDRESS[TX_ADR_WIDTH]={0x02,0x02,0x10,0x10,0x01}; //发送地址
const uint8_t RX_ADDRESS[RX_ADR_WIDTH]={0x02,0x02,0x10,0x10,0x01}; //接收地址					    
 
 /**
	*@brief		简单延迟函数
	*@param		延迟时间
	*@return 	无
	*
***/
void Delay(__IO uint32_t nCount)
{
  for(; nCount != 0; nCount--);
}

/**
	*@brief		初始化NRF24L01IO口
	*@param		无
	*@return 	无
	*
***/
void Init_NRF24L01(void)
{
  CE_H;           //初始化时先拉高
  CSN_H;					//初始化时先拉高
	
	
  /*## Enable peripherals and GPIO Clocks #################################*/
  NRF24L01_CE_GPIO_CLK_ENABLE();
  NRF24L01_IRQ_GPIO_CLK_ENABLE();
  
  GPIO_InitTypeDef GPIO_InitStruct;
#if 0								//没有必要进中断可以不用打开
  /*Configure GPIO pin : NRF24L01_IRQ_PIN */
  GPIO_InitStruct.Pin = NRF24L01_IRQ_PIN;
  GPIO_InitStruct.Mode = GPIO_MODE_IT_RISING;
  GPIO_InitStruct.Pull = GPIO_NOPULL;
  HAL_GPIO_Init(NRF24L01_IRQ_GPIO_PORT, &GPIO_InitStruct);

  /* EXTI interrupt init*/
  HAL_NVIC_SetPriority(EXTI15_10_IRQn, 0, 0);
  HAL_NVIC_EnableIRQ(EXTI15_10_IRQn);
  
  HAL_GPIO_WritePin(NRF24L01_IRQ_GPIO_PORT, NRF24L01_IRQ_PIN, GPIO_PIN_SET);
#endif

  GPIO_InitStruct.Pin = NRF24L01_CE_PIN;
  GPIO_InitStruct.Mode = GPIO_MODE_OUTPUT_PP;
  GPIO_InitStruct.Pull = GPIO_NOPULL;
  HAL_GPIO_Init(NRF24L01_CE_GPIO_PORT, &GPIO_InitStruct);  
	
	CE_H;           //初始化时先拉高,敲拉失能SPI总线上设备,避免干扰
        CSN_H;					//初始化时先拉高
	
	//IRQ_H;			 							//IRQ置高
	CE_L; 	                  //使能NRF24L01
	CSN_H;                    //SPI片选取消
}
 

/**
	*@brief		模拟SPI读写数据函数
	*@param		无
	*@return 	无
	*
***/
uint8_t SPI_ReadWriteByte(uint8_t TxData)                                        
{		
	uint16_t bit_ctr;
   	for(bit_ctr=0;bit_ctr<8;bit_ctr++) 
   	{
		if(TxData & 0x80)
		MOSI_H;         
		else
		MOSI_L;
		TxData = (TxData << 1);           
		SCK_H; 
		Delay(0xff);
		if(READ_MISO)                     
		TxData |= 0x01;       		  
		SCK_L; 
		Delay(0xff);           		 
   	}
    return(TxData);           		  		    
}

/**
	*@brief		上电检测NRF24L01是否在位
	*@details	写5个数据然后再读回来进行比较
	*@param		无
	*@return 	相同时返回值0,表示在位;否则返回1,表示不在位.
	*
***/	
uint8_t NRF24L01_Check(void)
{
	uint8_t buf[5]={0XA5,0XA5,0XA5,0XA5,0XA5};
	uint8_t buf1[5];
	uint8_t i;   	 
	NRF24L01_Write_Buf(SPI_WRITE_REG+TX_ADDR,buf,5);//写入5个字节的地址.	
	NRF24L01_Read_Buf(TX_ADDR,buf1,5);              //读出写入的地址  	
	for(i=0;i<5;i++)
		if(buf1[i]!=0XA5) break;					   
	if(i!=5) return 1;                               //NRF24L01不在位
		
	return 0;		                                //NRF24L01在位
}	 	 
/**
	*@brief		通过SPI写寄存器
	*@details	
	*@param		reg_addr:NRF24L01的相关地址			data:需要写入的数据
	*@return 	status
	*@retval 	返回状态值
***/	
uint8_t NRF24L01_Write_Reg(uint8_t reg_addr,uint8_t data)
{
	uint8_t status;	
    CSN_L;                    //使能SPI传输
  	status =SPI_ReadWriteByte(reg_addr); //发送寄存器号 
  	SPI_ReadWriteByte(data);            //写入寄存器的值
  	CSN_H;                    //禁止SPI传输	   
  	return(status);       		         //返回状态值
}

/**
	*@brief		读取SPI寄存器值
	*@details	
	*@param		reg_addr:NRF24L01要读的寄存器
	*@return 	status
	*@retval 	返回状态值
***/	
uint8_t NRF24L01_Read_Reg(uint8_t reg_addr)
{
	uint8_t reg_val;	    
 	CSN_L;                //使能SPI传输		
  	SPI_ReadWriteByte(reg_addr);     //发送寄存器号
  	reg_val=SPI_ReadWriteByte(0);//读取寄存器内容
 
  	CSN_H;                //禁止SPI传输		    
  	return(reg_val);                 //返回状态值
}	

/**
	*@brief		在指定位置读出指定长度的数据
	*@details	
	*@param		reg_addr:NRF24L01要读的寄存器			*pBuf:数据指针			data_len:数据长度
	*@return 	status
	*@retval 	此次读到的状态寄存器值
***/	
uint8_t NRF24L01_Read_Buf(uint8_t reg_addr,uint8_t *pBuf,uint8_t data_len)
{
	uint8_t status,i;	       
  	CSN_L;                     //使能SPI传输
  	status=SPI_ReadWriteByte(reg_addr);   //发送寄存器值(位置),并读取状态值   	   
 	for(i=0;i<data_len;i++)
		pBuf[i]=SPI_ReadWriteByte(0);//读出数据
 
  	CSN_H;                     //关闭SPI传输
  	return status;                        //返回读到的状态值
}

/**
	*@brief		在指定位置写指定长度的数据
	*@details	
	*@param		reg_addr:NRF24L01要写的寄存器			*pBuf:数据指针			data_len:数据长度
	*@return 	status
	*@retval 	此次读到的状态寄存器值
***/	
uint8_t NRF24L01_Write_Buf(uint8_t reg_addr, uint8_t *pBuf, uint8_t data_len)
{
	uint8_t status,i;	    
 	CSN_L;                                    //使能SPI传输
  	status = SPI_ReadWriteByte(reg_addr);                //发送寄存器值(位置),并读取状态值
  	for(i=0; i<data_len; i++)
		SPI_ReadWriteByte(*pBuf++); //写入数据	 
  	CSN_H;                                    //关闭SPI传输
  	return status;                                       //返回读到的状态值
}		

/**
	*@brief		启动NRF24L01发送一次数据
	*@details	
	*@param		txbuf:待发送数据首地址
	*@return 	status
	*@retval 	发送完成状况
***/
uint8_t NRF24L01_TxPacket(uint8_t *tx_buf)
{
	uint8_t state;   
	CE_L;
  	NRF24L01_Write_Buf(WR_TX_PLOAD,tx_buf,TX_PLOAD_WIDTH);//写数据到TX BUF  32个字节
 	CE_H;                                     //启动发送	   
	//while(READ_IRQ != 0);                         //等待发送完成
	state=NRF24L01_Read_Reg(STATUS);                     //读取状态寄存器的值	   
	NRF24L01_Write_Reg(SPI_WRITE_REG+STATUS,state);      //清除TX_DS或MAX_RT中断标志
	if(state&MAX_TX)                                     //达到最大重发次数
	{
		NRF24L01_Write_Reg(FLUSH_TX,0xff);               //清除TX FIFO寄存器 
		return MAX_TX; 
	}
	if(state&TX_OK)                                      //发送完成
	{
		return TX_OK;
	}
	return 0xff;                                         //其他原因发送失败
}
 
/**
	*@brief		启动NRF24L01接收一次数据
	*@details	
	*@param		txbuf:待接收数据首地址
	*@return 	status
	*@retval 	发送完成状况
***/
uint8_t NRF24L01_RxPacket(uint8_t *rx_buf)
{
	uint8_t state;		    							      
	state=NRF24L01_Read_Reg(STATUS);                //读取状态寄存器的值    	 
	NRF24L01_Write_Reg(SPI_WRITE_REG+STATUS,state); //清除TX_DS或MAX_RT中断标志
	if(state&RX_OK)                                 //接收到数据
	{
		NRF24L01_Read_Buf(RD_RX_PLOAD,rx_buf,RX_PLOAD_WIDTH);//读取数据
		NRF24L01_Write_Reg(FLUSH_RX,0xff);          //清除RX FIFO寄存器 
		return 0; 
	}	   
	return 1;                                      //没收到任何数据
}
/**
	*@brief		该函数初始化NRF24L01到RX模式
	*@details	设置RX地址,写RX数据宽度,选择RF频道,波特率和LNA HCURR,当CE变高后,即进入RX模式,并可以接收数据了
	*@param		无
	*@return 	无
	*@retval 	无
***/   
void RX_Mode(void)
{
	CE_L;	  
    //写RX节点地址
  	NRF24L01_Write_Buf(SPI_WRITE_REG+RX_ADDR_P0,(uint8_t*)RX_ADDRESS,RX_ADR_WIDTH);
 
    //使能通道0的自动应答    
  	NRF24L01_Write_Reg(SPI_WRITE_REG+EN_AA,0x01);    
    //使能通道0的接收地址  	 
  	NRF24L01_Write_Reg(SPI_WRITE_REG+EN_RXADDR,0x01);
    //设置RF通信频率		  
  	NRF24L01_Write_Reg(SPI_WRITE_REG+RF_CH,40);	     
    //选择通道0的有效数据宽度 	    
  	NRF24L01_Write_Reg(SPI_WRITE_REG+RX_PW_P0,RX_PLOAD_WIDTH);
    //设置TX发射参数,0db增益,2Mbps,低噪声增益开启   
  	NRF24L01_Write_Reg(SPI_WRITE_REG+RF_SETUP,0x0f);
    //配置基本工作模式的参数;PWR_UP,EN_CRC,16BIT_CRC,PRIM_RX接收模式 
  	NRF24L01_Write_Reg(SPI_WRITE_REG+CONFIG, 0x0f); 
    //CE为高,进入接收模式 
  	CE_H;                                
}			


/**
	*@brief		该函数初始化NRF24L01到TX模式
	*@details	设置TX地址,写TX数据宽度,设置RX自动应答的地址,填充TX发送数据,选择RF频道,波特率和LNA HCURR PWR_UP,CRC使能,当CE变高后,即进入RX模式,并可以接收数据了,CE为高大于10us,则启动发送.	 
	*@param		无
	*@return 	无
	*@retval 	无
***/  	 
void TX_Mode(void)
{														 
	CE_L;	    
    //写TX节点地址 
  	NRF24L01_Write_Buf(SPI_WRITE_REG+TX_ADDR,(uint8_t*)TX_ADDRESS,TX_ADR_WIDTH);    
    //设置TX节点地址,主要为了使能ACK	  
  	NRF24L01_Write_Buf(SPI_WRITE_REG+RX_ADDR_P0,(uint8_t*)RX_ADDRESS,RX_ADR_WIDTH); 
 
    //使能通道0的自动应答    
  	NRF24L01_Write_Reg(SPI_WRITE_REG+EN_AA,0x01);     
    //使能通道0的接收地址  
  	NRF24L01_Write_Reg(SPI_WRITE_REG+EN_RXADDR,0x01); 
    //设置自动重发间隔时间:500us + 86us;最大自动重发次数:10次
  	NRF24L01_Write_Reg(SPI_WRITE_REG+SETUP_RETR,0x1a);
    //设置RF通道为40
  	NRF24L01_Write_Reg(SPI_WRITE_REG+RF_CH,40);       
    //设置TX发射参数,0db增益,2Mbps,低噪声增益开启   
  	NRF24L01_Write_Reg(SPI_WRITE_REG+RF_SETUP,0x0f);  
    //配置基本工作模式的参数;PWR_UP,EN_CRC,16BIT_CRC,PRIM_RX发送模式,开启所有中断
  	NRF24L01_Write_Reg(SPI_WRITE_REG+CONFIG,0x0e);    
    // CE为高,10us后启动发送
	CE_H;                                  
}		  

主函数部分

模拟SPI NRF24L01模块的简单使用

至于数据校验问题,其实看了寄存器不难知道,NRF24L01自带CRC校验,打开了基本不会数据丢包,要是不放心,可以试试加一个简单的校验
以之前一个我写的一份简单项目为例

  • 初始化部分
    模拟SPI NRF24L01模块的简单使用
  • 实现部分
    模拟SPI NRF24L01模块的简单使用
  • 解包部分
/*****************************************************************************
 * 函  数: Data_Break
 * 功  能: 将数据分解,打包为数据包
 * 参  数:	
 * 返回值: 
 * 重  要: 0x02+摇杆数据+钮子开关数据+和校验+0x09
 * 备  注: 
*****************************************************************************/

void Data_Break(uint8_t flag_1,uint8_t flag_2,uint8_t flag_3,uint8_t flag_4,uint8_t flag_5,uint8_t flag_6){
	TX_nrf[0]=0x02;//帧头

	unsigned char i=0,num=0;
	
	for (i = 0; i < 4; i++)//32位转八位
	{
		TX_nrf[num + 1] = (unsigned char)((adc_aver[i] >> 24));
		TX_nrf[num + 2] = (unsigned char)((adc_aver[i] >> 16));
		TX_nrf[num + 3] = (unsigned char)((adc_aver[i] >> 8));
		TX_nrf[num + 4] = (unsigned char)(adc_aver[i]);
		num += 4;
	}
	TX_nrf[17] = flag_1;
	TX_nrf[18] = flag_2;
	TX_nrf[19] = flag_3;
	TX_nrf[20] = flag_4;
	TX_nrf[21] = flag_5;
	TX_nrf[22] = flag_6;
	TX_nrf[23] = 0;
	for(i=1;i<23;i++){TX_nrf[23] += TX_nrf[i];}//求和校验
	TX_nrf[24] = 0x09;
}

接收部分

  • 初始化
    模拟SPI NRF24L01模块的简单使用
  • 实现部分

模拟SPI NRF24L01模块的简单使用

  • 解包部分
//解包数据
void UN_Rx_pack(void)
{
	uint8_t i,num=0;
	uint8_t	add=0;
	uint32_t xrocker[4];
	for(i=0;i<=7;i++)
	{
		if(RX_pack[i]== 0x02)//找帧头0x02
		{
			for(num=0;num<4;num++)
			{
				xrocker[num] = ( (RX_pack[i+4*num+1]<<24)|(RX_pack[i+4*num+2]<<16)|(RX_pack[i+4*num+3]<<8)|(RX_pack[i+4*num+4]));//解包数据
			}
			for(num=i+1;num<i+23;num++)
			{
				add+=RX_pack[num];
			}
		}
	}
	if(add==RX_pack[i-8+23]&RX_pack[i-8+24]==0x09)//求和校验+确定帧尾0x09
	{
		mode_state[0]=RX_pack[i-8+17];//状态
		mode_state[1]=RX_pack[i-8+18];
		mode_state[2]=RX_pack[i-8+19];
		mode_state[3]=RX_pack[i-8+20];
		mode_state[4]=RX_pack[i-8+21];
		mode_state[5]=RX_pack[i-8+22];
		for(i=0;i<4;i++)
		{
			rocker[i]=xrocker[i];//数值0-4096
		}
		
	}
	else
	{
		;
	}

}

总结

对于模拟通信协议和各种模块的使用方法

  1. 时序图
  2. 参考手册注意事项
  3. 各模块寄存器

模拟SPI NRF24L01模块的简单使用

上一篇:CSDN VIP如何添加自定义栏目


下一篇:翻硬币