modelsim搭建uvm环境及实例

Modelsim SE-64 10.2c 自带编译好的uvm-1.1d

脚本new_run.do

set  UVM_DPI_HOME C:/modeltech64_10.2c/uvm-1.1d/win64
set UVM_HOME C:/modeltech64_10.2c/verilog_src/uvm-1.1d
set CODE_PATH E:/new_test/core_code/new_code vlib work
vmap work work vlog +incdir+$UVM_HOME/src+incdir+$CODE_PATH -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF $CODE_PATH/top_tb.sv vsim -ldflags "-lregex" -c -novopt -sv_lib $UVM_DPI_HOME/uvm_dpi work.top_tb

自动运行脚本

vsim -do new_run.do

uvm库

uvm-1.1d.tar.gz

实例

new_test.rar

上一篇:raspberry树莓派安装CUPS实现打印服务器共享HP P1007打印机


下一篇:Dynamics CRM2013/2015 插件注册工具登录后无法显示assembly列表问题的解决办法二