MSP430 & 4x4 矩阵键盘测试

简简单单写个小结。

买的矩阵键盘几块钱,很便宜,厂家给的原理图见下

MSP430 & 4x4 矩阵键盘测试

虽然但是,图上的S1~S16和矩阵键盘上印刷的好像不是很一样,不过并不是个问题。

MSP430 & 4x4 矩阵键盘测试

行和列各有四个引脚,自我感觉把行/列的引脚在板子上的接口设置在同一组IO下比较方便。

这里行用的是P2.0-P2.3,列用的是P1.4-P1.7

网上很多关于其程序编写的介绍,总之就是要找到按键所在的坐标(x,y)。。至于怎么定位所在行和所在列就各有方法了。

测试的时候就是用的普通的行列扫描法。

先扫描列:行引脚输出高电平,列引脚当没有任何按键按下时应该为低,当该列有按键按下时就应该为高。

同理,扫描行反过来设置电平就好。

当然为了实现引脚悬空时稳定电平,应该设置上下拉电阻。对于扫描列的情况,应当在给列引脚设置内部下拉电阻,进而其悬空时为低电平:

void Column_Low()
{
    P1DIR &= ~(BIT4+BIT5+BIT6+BIT7); //set input direction
    P1REN |= BIT4+BIT5+BIT6+BIT7;   //enable internal resistor
    P1OUT &= ~(BIT4+BIT5+BIT6+BIT7); //set pull-down resistor


    P1IES &= ~(BIT4+BIT5+BIT6+BIT7); // enable rising edge interrupt
    P1IE |= BIT4+BIT5+BIT6+BIT7;
    _enable_interrupts();

}

另外还要进行的工作就是按键消抖。关于这个,从TI的LaunchPad口袋实验室平台那本pdf里的例程稍加修改即可。。(注意细节,板子上的按键按下为低电平,自己的键盘按键按下如何就得看自己的设置了,记得检查判断条件)

void IO_Dect()
{
        result=0;
        //-----排除输出IO的干扰后,锁定唯一被触发的中断标志位-----
        Push_Key=P1IFG&(~P1DIR);

        __delay_cycles(10000); //消抖延时

        if((P1IN&Push_Key)!=0) //如果该次按键确实有效
         {
                    Get_Row(); //
             switch(Push_Key)
            {
                 case BIT4: result=1+4*(row-1); break;
                 case BIT5: result=2+4*(row-1); break;
                 case BIT6: result=3+4*(row-1); break;
                 case BIT7: result=4+4*(row-1); break;
                default: result=0; break;
            }
         }

}

总的测试过程也是一步一步来的,先测试一行4个按键了解实际情况,再测试完整的键盘。

由于看结果不方便(当然也可以设置端点直接看result的值),直接设置一个内置固定的字符列表,配合串口进行最后的测试。

MSP430 & 4x4 矩阵键盘测试

上一篇:蓝桥杯 --- (费解的开关)


下一篇:Postman之CVS或JSON文件实现数据驱动