FPGA学习(2)-按键消抖

原理

对于机器开关,有抖动,在一般单片机设置时,直接检测后,如果监测到按键,然后延时,在检测,这是利用了单片机的系统结构,顺序执行。

而对于FPGA,思路:(假设按键按下表示低电平),高电平时,计数值一直为0,当检测到低电平,开始计数,在此期间,如果有任何高点平,重新计数,所以在低电平稳定时,计数开始直到最大,这也表示按键稳定,而且一定消抖了,然后给一脉冲标志,表示按键按下了,但是,为了防止一直按着按键计数会自动清零,所以前面加个判断,在低电平前提下,达到最大值,计数器不变了。同时为了满足输出产生个脉冲,这里设计达到最大值-1,有一个高点平,其他都是低电平。

module  key_filter(sys_clk,sys_rst,key_in,key_flag);

    input   sys_clk;    //时钟信号
    input   sys_rst;    //复位信号
    input   key_in;     //按键输入
    
    output  reg key_flag;       //按键输出
    
parameter   cnt_MAX=20'd999_999;

reg [19:0]  cnt20ms;

always@(posedge sys_clk or negedge sys_rst)
begin
    if(sys_rst==0)
        cnt20ms<=20'd0;
    else begin
    if(key_in==1'b1)
    cnt20ms<=20'd0;  //表示按键没有按下,计数一直清零
    else if(key_in==1'b0 && cnt20ms==cnt_MAX)  //表示在按键按下延时到20ms时,不在计数
        cnt20ms<=cnt20ms;
    else
        cnt20ms<=cnt20ms+1; //按键按下表示一直计数,直到最大值
end
end
// //等价
// always@(posedge or negedge sys_rst)
// begin
    // if(!sys_rst)
        // cnt20ms<=20'd0;
    // else begin
        // if(key_in==1'b1)    cnt20ms<=20'b0;
        // else begin
        // if(cnt20ms==cnt_MAX)    cnt20ms<=cnt20ms;
        // else    cnt20ms<=cnt20ms+1;
        // end
        
    // end
// end

always@(posedge sys_clk or negedge sys_rst)
begin
    if(sys_rst==0)
        key_flag<=1'b0;
    else if(cnt20ms==(cnt_MAX-1))
        key_flag<=1'b1;        //为了产生key_flag脉冲(只要一个脉冲)
    else
        key_flag<=1'b0;
end







endmodule

测试(硬件测试)

module led(sys_clk,sys_rst,key_in,led_out);
    
    input   sys_clk,sys_rst;
    input   key_in;
    output reg led_out;
    
    wire key_flag;
    
key_filter  U1(
.sys_clk(sys_clk),
.sys_rst(sys_rst),
.key_in(key_in),
.key_flag(key_flag)
);
always@(posedge sys_clk or negedge  sys_rst)
begin
    if(!sys_rst)
        led_out<=1'b0;
    else if(key_flag==1'b1)
    led_out<=~led_out;
    else
    led_out<=led_out;
end




endmodule

测试(软件仿真):略

上一篇:Solon 开发,五、切面与环绕拦截


下一篇:PostgreSQL安装