zedboard学习记录.1.纯PL流水灯

环境:vivado 217.4

开发板: zedboard ver.d xc7z020clg484-1

1.打开Vivado新建一个RTL工程。

zedboard学习记录.1.纯PL流水灯

2.add source->add/create design resouce->create ->finish

zedboard学习记录.1.纯PL流水灯zedboard学习记录.1.纯PL流水灯

3.写入内容。

 module FlowingLamp(
input wire GCLK, //PL 100mhz
input wire BTNU, //low
output wire [:] LED
);
wire clk_100m; //clk 100mhz
wire rst; //reset
reg clk_2hz; //0.5s
reg [:] led_reg;
reg [:] delay_cnt; assign LED = led_reg;
assign clk_100m = GCLK;
assign rst = BTNU; //clk_2hz
always@(posedge clk_100m or negedge rst)
begin
if(rst)begin
clk_2hz <= 'b0;
delay_cnt <= 'h0;
end
else begin
if(delay_cnt == 'd25_000_000 - 32'd1)begin
delay_cnt <= 'h0;
clk_2hz <= ~clk_2hz;
end
else begin
delay_cnt <= delay_cnt + 'b1;
end
end
end //led_reg
always @(posedge clk_2hz or posedge rst)
begin
if(rst)
led_reg <= 'h01;
else
led_reg <= {led_reg[:],led_reg[]};
end endmodule

4.添加约束文件,分配引脚。在Constraints下constrs_1 右键 Add Resouce。与添加.v文件相似。

zedboard学习记录.1.纯PL流水灯

可以直接编写;

5.编写约束文件,可以直接输入,也可生成。

点击SYNTHESIS->Run Synthesis,

zedboard学习记录.1.纯PL流水灯

Run Implementation.

zedboard学习记录.1.纯PL流水灯

选择Open Implementation Desgin,然后在界面 I/O Planning

zedboard学习记录.1.纯PL流水灯

在I/O Ports内更改引脚配置,配置如下示:

zedboard学习记录.1.纯PL流水灯

save,

zedboard学习记录.1.纯PL流水灯

6.生成.bit文件。

Run Implementation->Genertate Bitstream.

zedboard学习记录.1.纯PL流水灯

Open Hardware Manager

7.下载。

连接zedboard与pc,

上电,

zedboard学习记录.1.纯PL流水灯

右键 Program Device ->Done。

上一篇:php 队列


下一篇:环信EaseUI集成错误 Unknown type name 'NSString' NSLocalizedString