system verilog调用python

传统的方法是通过DPI调用C,再用C调用python,转来转去很麻烦。
考虑以下新方法:
1.通过dpi调用C;
2.C通过popen调用脚本,进行输出重定向,讲脚本的输出存放到一个FILE*指向的标准输出;
3.通过fgets函数获取标准输出,作为C的返回值返回给sv;

上一篇:SV中的接口


下一篇:sv coverage