VCS仿真查看coverage

VCS仿真查看coverage


Design compiler & simulate


#!/bin/csh -f
setenv NOVAS_HOME /EDASynopsys/verdi3-I-201403-SP1
setenv NOVAS_PLI ${NOVAS_HOME}/share/PLI/VCS/LINUX64
setenv LD_LIBRARY_PATH $NOVAS_PLI setenv NOVAS "${NOVAS_HOME}/share/PLI/VCS/LINUX64" #用于fsdb波形文件dump
setenv novas_args "-P $NOVAS/verdi.tab $NOVAS/pli.a " vcs +v2k -sverilog +vcs+lic+wait -full64 -debug_pp \
+warn=noCDNYI,noIPDW,noILLGO,noTMR,noPHNE,noIRIID-W \
-Mupdate +notimingcheck +nospecify \
${novas_args}\
-f file.f \
-cm cond+fsm+line \ #VCS creates the simv.vdb directory (the coverage metrics database) ./simv -cm cond+fsm+line #simulate

生成默认的simv.vdb目录,coverage的数据就在里面

查看coverage(三种方法)


  • 使用urg处理后查看

urg处理,默认生成urgReport目录

urg -full64 -dir simv.vdb

urgReport目录下firefox浏览器查看tests.html

VCS仿真查看coverage

  • 使用verdi查看

verdi -cov -covdir simv.vdb

VCS仿真查看coverage

  • 使用dve查看

dve -covdir simv.vdb

VCS仿真查看coverage

参考资料


[1]. CoverageTut.pdf (verdi手册目录下)

[2]. cov_ref.pdf (vcs手册目录下)

[3]. cov_ug.pdf (vcs手册目录下)

上一篇:C#中MS Word的加载项TextChange事件


下一篇:Course Selection System ZOJ - 3956 01背包+思维