使用Debussy+ModelSim快速查看前仿真波形

sim.do文件

quit -sim  

set PATH1 D:/Program/modelsim/vivado_lib
set PATH2 D:/Program/Vivado/Vivado/2014.4/data/verilog/src vlib work
vmap work $PATH1/simprims_ver
vlog $PATH2/glbl.v vlog +acc -work work -f ../src/verilog.f ##vcom +acc -work work -f ../src/vhdl.f vsim -t ns -novopt work.tb_four2one_top
run @1000000us q ## initial
## begin
## $fsdbDumpfile("wave.fsdb");
## $fsdbDumpvars;
## end

run.bat文件

::关闭回显
@ECHO OFF
::设置软件路径
SET vsim=D:\Program\modelsim\win32\vsim.exe
SET debussy=D:\Program\Debussy\bin\Debussy.exe
::ModelSim Command
%vsim% -c -do sim.do
::删除ModelSim生成的相关文件
RD work /s /q
DEL transcript vsim.wlf /q
::Debussy Command
::%debussy% -f rtl.f -ssf wave.fsdb -
::删除波形文件
::DEL wave.fsdb /q
::删除Debussy生成的相关文件
RD Debussy.exeLog /s /q
DEL debussy.rc /q
::退出命令行
EXIT

runbat文件.rar

simdo文件.rar

上一篇:android方向键被锁定的问题


下一篇:express框架介绍