什么是Verilog HDL?

Verilog HDL是一种硬件描述语言,用于从算法级、门级到开关级的多种抽象设计层次的数字系统建模。被建模的数字系统对象的复杂性可以介于简单的门和完整的电子数字系统之间。数字系统能够按层次描述,并可在相同描述中显示地进行时序建模。
Verilog HDL语言具有设计的行为特性、设计的数据特性、设计的结构组成以及包含响应监控和设计方面的时延和波形产生机制。Verilog HDL语言还提供编程语言接口,通过接口模拟、验证期间从设计外部访问设计,包括模拟的具体控制和运行。

Verilog HDL语言主要能力

  1. 基本逻辑门
  2. 用户定义原语UDP创建的灵活性
  3. 开关基本结构模型
  4. 提供显示语言结构指定设计中的端口到端口的时延及路径时延和设计的时序检查
  5. 可采用三种不同方式或混合方式对设计建模。方法包括:行为描述方式-使用过程化结构建模;数据流方式-使用连续赋值语句方式建模;结构化方式-使用门和模块实力语句描述建模
  6. Verilog HDL有两种数据类型:线网数据类型和寄存器数据类型。线网类型表示构件间的物理连线,而寄存器类型表示抽象的数据存储元件
  7. 能够描述层次设计,可使用模块实例结构描述任何层次
  8. 设计规模任意
  9. 使用门和模块实例化语句在结构级进行结构描述。
  10. 可以显示地并发和定时进行建模
  11. 提供强有力的文件读写能力
  12. 语言在特定情况下是非确定性的,即在不同的模拟器上模型可以产生不同的结果
上一篇:FPGA的设计艺术(12)使用parameter构建可重用的逻辑设计


下一篇:笔记:路科V0第8节——自定义类型