51单片机入门 - 12864液晶显示器实验

 

关注【电子开发圈】微信公众号,一起学习吧!

电子DIY、Arduino、51单片机、STM32单片机、FPGA……
电子百科、开发技术、职业经验、趣味知识、科技头条、设备拆机……

点击链接,免费下载100G+电子设计学习资料!

http://mp.weixin.qq.com/mp/homepage?__biz=MzU3OTczMzk5Mg==&hid=7&sn=ad5d5d0f15df84f4a92ebf72f88d4ee8&scene=18#wechat_redirect

 

SMG12864液晶显示器

  • 128*64个点位,可以显示图形或8*4个汉字

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

引脚功能:

51单片机入门 - 12864液晶显示器实验

基本结构:

  • 行驱动器:IC3
  • 列驱动器:IC1,IC2
  • 128*64全点阵液晶显示器

51单片机入门 - 12864液晶显示器实验

内部结构:IC1,IC2,IC3含有的主要功能器件

  • 指令寄存器 IR:写指令时将指令存入IR
  • 数据寄存器 DR:写数据时将数据存入DR
  • 忙标志 BF:BF=1表示模块在内部操作,此时不接收外部指令和数据。BF=0时为准备状态,可以接收指令和数据
  • 显示控制触发器 DDF:屏幕显示开和关的控制,DFF=1开,DFF=0关
  • 显示数据内存 DDRAM:存储图形显示数据
  • XY地址计数器:9位计数器(高3位为X,低6位为Y)作为DDRAM指针,X只能通过指令设置,Y地址计数器具有指令循环功能,读写数据完成后Y地址自动+1
  • Z地址计数器:6位计数器,用于显示行扫描同步,完成一行扫描后Z地址自动+1,向下一行扫描。RST复位后,Z地址归零。显示屏幕的起始行由此指令控制。此模块的DDRAM共64行,屏幕可以循环滚动显示64行。

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

操作时序:

51单片机入门 - 12864液晶显示器实验

读操作时序图:

51单片机入门 - 12864液晶显示器实验

写操作时序图:

51单片机入门 - 12864液晶显示器实验

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

操作指令:

51单片机入门 - 12864液晶显示器实验

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

DDRAM与地址、显示位置的关系 p.s.CS2对应左屏,CS1对应右屏

  • DDRAM与页地址的对应关系

    在图中可以看到每个CS由8页(64行)*64列组成

    51单片机入门 - 12864液晶显示器实验

  • DDRAM与地址显示位置的对应关系

    51单片机入门 - 12864液晶显示器实验

  • DDRAM与起始行对应关系

DDRAM行

62

63

0

1

...

29

屏幕显示行

1

2

3

4

...

32

————————————————————————————————————————————

SMG12864液晶显示器使用实例

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

设计要求:一行显示八个字,滚动显示

51单片机入门 - 12864液晶显示器实验

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

实现思路:

  • 动态显示:利用余晖效应循环显示八个汉字
  • 滚动显示:每次显示完毕后在下一次循环中显示在下一行(建立新的初始行,每次循环将初始行+1)

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

主体模块:

  • 初始化LCD,清屏
  • 动态显示

    • 滚动控制

      • 开始行建立
      • 开始行+1
    • 汉字显示

      • 第一个字
      • 第二个字
      • ...
      • 第八个字
      • 全屏显示

子模块:

  • 初始化

    • 清屏
  • 汉字显示

    • 选择左右屏(前四个字在左屏,后四个字在右屏)
    • 显示字的上半部分

      • 建立行(页)
      • 建立列
      • 遍历写入字库对应位置
    • 显示字的下半部分

      • 同上半部分

LCD基本操作:

  • 读状态

    • rs=0;rw=1;
    • do循环(BUSY为1忙碌时)

      • P0置空
      • 打开使能
      • 读入P0
      • 关闭使能
      • 检测BF标志位状态(引脚DB7)
  • 写指令:

    • 读状态
    • rs=0;rw=0;
    • 写入指令
    • E负跳变沿
  • 写数据

    • 读状态
    • rs=0;rw=1;
    • 写入数据
    • E负跳变沿
  • 选择屏幕

    • 全屏显示:CS1/2=0;
    • 右屏显示(CS1控制):CS2高电平,CS1低电平
    • 左屏显示(CS2控制):CS1高电平,CS2低电平
  • 清屏:

    • 选择全屏
    • 循环遍历8页

      • 建立该页
      • 建立第0行
      • 遍历该页的所有列写入内容
  • 开始行建立:指令码 11xxxxxx
  • 行(页)建立:指令码 10111xxx
  • 列建立:指令码 01xxxxxx
  • 显示开闭:指令码 0011111x

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

清屏过程:

51单片机入门 - 12864液晶显示器实验

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

字显示过程:

  • 第1个字(对应字库1~2行)

    • 上半部分,第0页(字库第1行)

      • 逐列显示 0,1,2,3,4,5,6,7(字库第0,1,2,3,4,5,6,7位)
    • 下半部分,第1页(字库第2行)

      • 逐列显示 0,1,2,3,4,5,6,7(字库第8,9,10,11,12,13,14,15位)
  • 第2个字(对应字库前3~4行)

    • 第0页(字库第3行)

      • 逐列显示 17,18,19,20,21,22,23,24
    • 第1页(字库第4行)

      • 逐列显示 25,26,27,28,29,30,31,32
  • ...
  • 第i个字(对应字库 i*2-1~i*2行)

    • 第0页(字库第 i*2-1行)

      • 字库第 (i-1)*32,(i-1)*32+1,(i-1)*32+2,(i-1)*32+3...,(i-1)*32+7 位
    • 第1页(字库第 i*2行)

      • 字库第 (i-1)*32+16,(i-1)*32+17,(i-1)*32+18,(i-1)*32+19...,(i-1)*32+31 位

51单片机入门 - 12864液晶显示器实验

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -

实现代码:

 

#include <reg52.h>
#include <intrins.h>
#define DATA P0
typedef unsigned char uchar;
sbit rs = P2 ^ 2;
sbit rw = P2 ^ 1;
sbit en = P2 ^ 0;
sbit cs1 = P2 ^ 4;
sbit cs2 = P2 ^ 3;
uchar code zhCN[] =
{
    //每个位置占据1列8行
    //第1个字
    //上半部分:第1列,第2列,第3列...第8列
    0x00, 0x04, 0x04, 0xC4, 0xB4, 0x8C, 0x87, 0x84, 0xF4, 0x84, 0x84, 0x84, 0x84, 0x04, 0x00, 0x00,
    //下半部分
    0x00, 0x00, 0x20, 0x18, 0x0E, 0x04, 0x20, 0x40, 0xFF, 0x00, 0x02, 0x04, 0x18, 0x30, 0x00, 0x00,
    //第2个字
    0x20, 0x20, 0x2A, 0x2A, 0xAA, 0x6A, 0x3E, 0x2B, 0xAA, 0xAA, 0xEA, 0xAA, 0x2A, 0x22, 0x20, 0x00,
    0x82, 0x82, 0x45, 0x45, 0x25, 0x15, 0x0D, 0xFF, 0x04, 0x0C, 0x14, 0x24, 0x65, 0xC2, 0x42, 0x00,
    //第3个字
    0x00, 0x00, 0xF8, 0x48, 0x48, 0x48, 0x48, 0xFF, 0x48, 0x48, 0x48, 0x48, 0xF8, 0x00, 0x00, 0x00,
    0x00, 0x00, 0x0F, 0x04, 0x04, 0x04, 0x04, 0x3F, 0x44, 0x44, 0x44, 0x44, 0x4F, 0x00, 0x70, 0x00,
    //第4个字
    0x00, 0x00, 0x02, 0x02, 0x02, 0x02, 0x02, 0xE2, 0x12, 0x0A, 0x06, 0x02, 0x00, 0x80, 0x00, 0x00,
    0x01, 0x01, 0x01, 0x01, 0x01, 0x41, 0x81, 0x7F, 0x01, 0x01, 0x01, 0x01, 0x01, 0x01, 0x01, 0x00,
    //第5个字
    0x40, 0x20, 0xD0, 0x4C, 0x43, 0x44, 0x48, 0xD8, 0x30, 0x10, 0x00, 0xFC, 0x00, 0x00, 0xFF, 0x00,
    0x00, 0x00, 0x3F, 0x40, 0x40, 0x42, 0x44, 0x43, 0x78, 0x00, 0x00, 0x07, 0x20, 0x40, 0x3F, 0x00,
    //第6个字
    0x20, 0x24, 0x2C, 0x35, 0xE6, 0x34, 0x2C, 0x24, 0x00, 0xFC, 0x24, 0x24, 0xE2, 0x22, 0x22, 0x00,
    0x21, 0x11, 0x4D, 0x81, 0x7F, 0x05, 0x59, 0x21, 0x18, 0x07, 0x00, 0x00, 0xFF, 0x00, 0x00, 0x00,
    //第7个字
    0x00, 0x04, 0x04, 0x04, 0xFF, 0x54, 0x54, 0x54, 0x54, 0x54, 0xFF, 0x04, 0x04, 0x04, 0x00, 0x00,
    0x11, 0x51, 0x49, 0x4D, 0x4B, 0x49, 0x49, 0x7D, 0x49, 0x49, 0x4B, 0x45, 0x4D, 0x59, 0x09, 0x00,
    //第8个字
    0x10, 0x10, 0x10, 0xFE, 0x10, 0x50, 0x40, 0xFE, 0x20, 0x20, 0xFF, 0x10, 0x10, 0xF8, 0x10, 0x00,
    0x20, 0x20, 0x10, 0x1F, 0x08, 0x08, 0x00, 0x3F, 0x40, 0x40, 0x4F, 0x42, 0x44, 0x43, 0x70, 0x00
};
void Delay(uchar m)
{
    uchar i;
    for (; m > 0; --m)
        for (i = 0; i < 110; ++i)
            ;
}
/* 检查忙碌状态 */
void CheckState()
{
    //通过读取BF标志位(引脚9,对应P0^7)状态判断模块是否繁忙
    uchar dat;
    //读状态下RS=0,R/W=1,E=1
    rs = 0;
    rw = 1;
    do
    {
        DATA = 0x00; //RST=0正常,BUSY=0准备状态,ON/OFF=0显示关,
        en = 1;
        _nop_(); //打开使能后检测模块状态
        dat = DATA; //状态读入dat
        en = 0;
        dat = 0x80 & dat; // 1000 0000 & dat 通过与操作隔离后7位,仅判断最高位
    }
    while(dat != 0x00); //P0^7为0时跳出循环,BUSY=0准备状态
}
/* 选择要显示的屏幕 */
void SelectScreen(uchar screen)
{
    //CS为低电平时亮
    switch(screen)
    {
    case 0: //全屏显示
        cs1 = 0; //IC1控制右半屏
        _nop_();
        _nop_();
        _nop_();
        cs2 = 0; //IC2控制左半屏
        _nop_();
        _nop_();
        _nop_();
        break;
    case 1: //右屏显示
        cs1 = 0;
        _nop_();
        _nop_();
        _nop_();
        cs2 = 1;
        _nop_();
        _nop_();
        _nop_();
        break;
    case 2: //左屏显示
        cs1 = 1;
        _nop_();
        _nop_();
        _nop_();
        cs2 = 0;
        _nop_();
        _nop_();
        _nop_();
        break;
    }
}
/* 写命令操作 */
void SendCommandToLCD(uchar com)
{
    //p.s.在CS1或CS2低电平情况下才能写入命令
    CheckState();
    rs = 0;
    rw = 0;
    DATA = com;
    en = 1;
    _nop_();
    _nop_();
    en = 0;
}
/* 显示开闭 */
void SetOnOff(uchar onoff)
{
    //传入0,关显示,00111110|00000000 = 00111110
    //传入1,开显示,00111110|00000001 = 00111111
    onoff = 0x3E | onoff;
    SendCommandToLCD(onoff); //写指令onoff
}
/* 行(页)建立 */
void SetLine(uchar page)
{
    //10111000|00000000 = 10111000
    //10111000|00000001 = 10111001
    //10111000|00000010 = 10111010
    //...
    //10111000|00000111 = 10111111
    //共8页,设置页地址
    page = 0xb8 | page;
    SendCommandToLCD(page);
}
/* 列建立 */
void SetColumn(uchar column)
{
    //01xxxxxx 后六位为列地址
    //先通过与操作屏蔽前2位,将地址范围限制在0-63之间
    //再通过或操作将列操作指令前两位代入
    column = column & 0x3F; //00000000 & 00111111 = 00000000
    column = 0x40 | column; //01000000 | 00000000 = 01000000
    SendCommandToLCD(column);
}
/* 写入数据 */
void WriteByte(uchar dat)
{
    CheckState();
    rs = 1;
    rw = 0;
    DATA = dat;
    en = 1;
    _nop_();
    _nop_();
    en = 0;
}
/* 清屏 */
void ClearScreen(uchar screen)
{
    uchar i, j;
    SelectScreen(screen);
    for (i = 0; i < 8; ++i) //书上源码建立16页,此处建立8页正常运行
    {
        SetLine(i); //建立行
        SetColumn(0); //建立列
        for (j = 0; j < 64; ++j) //通过遍历该行的64列写入内容,列地址自动+1
            WriteByte(0x00);
    }
}
/* 设置开始行 */
void SetStartLine(uchar startline)
{
    //设置开始行时前两位为11
    startline = 0xC0 | startline;
    SendCommandToLCD(startline);
}
/* 初始化 */
void InitLCD()
{
    CheckState(); //检查LCD状态,是否繁忙,每次操作前都要进行检查
    SelectScreen(0); //全屏显示,将要显示的屏幕部分置为低电平
    SetOnOff(0); //关显示,1为开显示,0位关显示
    SelectScreen(0);
    SetOnOff(1); //开显示
    SelectScreen(0);
    ClearScreen(0); //0清全屏,1清左屏,2清右屏
    SetStartLine(0); //从第0行开始
}
/* 显示汉字 */
void Display(uchar screen, uchar page, uchar column, uchar num)
{
    uchar i;
    SelectScreen(screen); //选择对应要亮的屏
    /* 显示字的上半部分 */
    SetLine(page); //建立行(页)
    column = column & 0x3F; // column & 00111111 屏蔽前两位
    SetColumn(column); //建立列
    //写入字库第32*num~32*num+16位的数据
    //第1个字:0~15,对应字库第1行
    //第2个字:32~47,对应字库第3行
    //第3个字:64~79,对应字库第5行
    //...
    for (i = 0; i < 16; ++i)
        WriteByte(zhCN[i + 32 * num]);
    /* 显示字的下半部分 */
    SetLine(page + 1);
    SetColumn(column);
    //写入字库第32*num+16~32*num+32位的数据
    //第1个字:16~31,对应字库第2行
    //第2个字:48~63,对应字库第4行
    //第3个字:80~95,对应字库第6行
    //...
    for (i = 0; i < 16; ++i)
        WriteByte(zhCN[i + 32 * num + 16]);
}
/* 主函数 */
int main()
{
    uchar i;
    /* 初始化LCD,书上进行了一系列初始化操作,仅清屏的话不影响运行结果 */
    // InitLCD();
    ClearScreen(0); //清屏
    /* 动态显示 */
    while(1) //余晖效应
    {
        for (i = 0; i < 64; ++i) //如果此处改成10,则在向上移动10行后重新出现在第0行,此处使用64的倍数来实现无限循环(无间断),书上源码循环128次,64次也无影响
        {
            /* 滚动控制 */
            SetStartLine(i); //循环建立开始行,每一次循环都上移1行
            /* 汉字显示 */
            Display(2, 0, 0 * 16, 0); //左屏,第0页,  0列,第0个字
            Display(2, 0, 1 * 16, 1); //左屏,第0页, 16列,第1个字
            Display(2, 0, 2 * 16, 2); //左屏,第0页, 32列,第2个字
            Display(2, 0, 3 * 16, 3); //左屏,第0页, 48列,第3个字
            Display(1, 0, 4 * 16, 4); //右屏,第0页, 64列,第4个字
            Display(1, 0, 5 * 16, 5); //右屏,第0页, 80列,第5个字
            Display(1, 0, 6 * 16, 6); //右屏,第0页, 96列,第6个字
            Display(1, 0, 7 * 16, 7); //右屏,第0页,128列,第7个字
            SelectScreen(0); //由于显示后四个字符时左屏处于关显示状态,需要打开全屏
            Delay(50);
        }
    }
}

 

上一篇:proteus仿真51流水灯和点阵(心形)


下一篇:彻底弄懂UTF-8、Unicode、宽字符、locale